Synopsys

Synopsys is an American electronic design automation company that focuses on silicon design and verification, silicon intellectual property and software security and quality. Products include logic synthesis, behavioral synthesis, place and route, static timing analysis, formal verification, hardware description language (SystemC, SystemVerilog/Verilog, VHDL) simulators, as well as transistor-level circuit simulation. The simulators include development and debugging environments which assist in the design of the logic for chips and computer systems. In recent years, Synopsys has expanded its products and services to include application security testing. Their technology is present in self-driving cars, artificial intelligence, and internet of things consumer products.

Synopsys, Inc.
Public
Traded as
ISINUS8716071076 
Industry
PredecessorC Level Design 
Founded1986 in Research Triangle Park, North Carolina.
FounderDavid Gregory
Aart de Geus
HeadquartersMountain View, California, U.S.
Key people
Aart J. de Geus
(Founder, Chairman & co-CEO)
Chi-Foon Chan
(President & co-CEO)
Revenue US$3.3 billion (2019)[1]
US$625 million (2019)[1]
Number of employees
13,000[2]
DivisionsSilicon Design & Verification, Silicon Intellectual Property, Software Integrity Group
Websitesynopsys.com

History

Synopsys was founded by Aart J de Geus and David Gregory in 1986 in Research Triangle Park, North Carolina. The company was initially established as Optimal Solutions with a charter to develop and market synthesis technology developed by the team at General Electric.

Divisions

Synopsys has three divisions including silicon design and verification, silicon intellectual property, and software integrity.

Silicon Design & Verification

This Synopsys division focuses the design and verification of integrated circuits and designing more advanced processes and models for the manufacturing of those chips.[3]

Silicon Intellectual Property

This division of Synopsys focuses on the enabling organizations to create high-quality silicon proven intellectual property solutions for System on a chip (SoC) designs.[4]

Software Integrity

In 2014, Synopsys began to expand their products and services to include software security and quality. This division helps organizations integrate security into DevOps environments, build holistic application security programs, test any software on-demand, find and fix software quality and compliance issues earlier, identify and manage open source components, and assess application security threats, risks and dependancies.[5]

Products

Synopsys has three types of products and services within the three divisions of Silicon Design & Verification[6], Silicon Intellectual Property[7], and Software Integrity.[8] Synopsys has a number of products and services, including:

  • Advanced Fusion Technology[9]
  • Black Duck[10]
  • Black Duck Audit Services[11]
  • BSIMM
  • Certitude
  • Code Sight[12]
  • CODE V
  • Coverity[13]
  • Custom Compiler
  • CustomSim
  • DC Explorer
  • Defensics[14]
  • Design Compiler Graphical
  • Design Complier NXT
  • ESP
  • FineSim
  • Formality
  • Fusion Compiler
  • Fusion Design Platform
  • HAPS Prototyping
  • HSPICE
  • IC Compiler™
  • IC Compiler II™ with RedHawk™
  • Identify RTL Debugger
  • LightTools
  • Lynx Design System
  • Nanotime
  • Platform Architect Ultra
  • Polaris Software Integrity Platform™[15]
  • PrimeECO
  • PrimePower
  • PrimeYield
  • Proteus
  • QuickCap NX
  • Seeker[16]
  • SilconSmart
  • Siloti
  • Simpleware™ ScanIP
  • SpyGlass
  • StarRC™
  • Synopsys eLearning
  • Synopsys Managed Services[17]
  • Synopsys Professional Services
  • Synopsys TestMAX™
  • Synplify Premiere
  • Synplify Pro
  • The PrimeTime Suite
  • Tinfoil[18]
  • Verdi
  • Verdi Advanced AMS Debug
  • Verdi HW/SW Debug
  • Verdi Performance Analyzer
  • Verdi Power-Aware Debug
  • VerIDE
  • Virtualizer
  • VCS
gollark: I forgot.
gollark: The dodecahedron emoji was one of them, but sinth implemented it anyway.
gollark: I mean, yes, I had some ideas, but I can't actually do anything?
gollark: What do you want me to *do*, exactly?
gollark: Well, I vaguely suspect that you'll randomly demote me.

See also

References

  1. "Yahoo Finance Page for Synopsys".
  2. "Synopsys Corporate Backgrounder Spring 2018" (PDF). Synopsys.com. Retrieved 2019-11-06.
  3. "Electronic Design Automation (EDA)". www.synopsys.com. Retrieved 2020-02-03.
  4. "Synopsys DesignWare IP". www.synopsys.com. Retrieved 2020-02-03.
  5. "Synopsys Security | Software Integrity Group". www.synopsys.com. Retrieved 2020-02-03.
  6. "Electronic Design Automation (EDA)". www.synopsys.com. Retrieved 2019-07-15.
  7. "IP Accelerated Synopsys" (PDF). Synopsys.com. 2019-11-02.
  8. "Software Security & Quality Tools & Services | Synopsys". www.synopsys.com. Retrieved 2019-06-07.
  9. Diamantidis, Stelios (2020-02-06). "Machine Learning..Everywhere". Semiconductor Engineering.
  10. Bals, Fred (2020-01-29). "Taking Next Step in Your AppSec Program". Security Boulevard.
  11. Germain, Jack (2019-04-30). "Open Source Flaw Management Shows Sign of Improvement: Report". LinuxInsider.
  12. Klien, Charlie (2019-05-08). "Announcing Code Sight 2019.4". Software Integrity Blog.
  13. Gray, James (2016-09-06). "Synopsys' Coverity".
  14. Korolov, Maria (2019-03-26). "What is AI fuzzing?". CSO Online.
  15. Kerner, Sean Michael (2019-02-25). "Synopsys Launches Polaris Software Integrity Platform". eWeek.
  16. "Synopsys Redefines Interactive Application Security Testing". Cision PR Newswire. 2018-07-31.
  17. Menear, Harry (2020-01-02). "2020 vision: Synopsys predictions". Gigabit.
  18. Staff, DarkReading (2020-01-10). "Synopsys buys Tinfoil". Dark Reading.
This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.