Molecular layer deposition

Molecular layer deposition (MLD) is a vapour phase thin film deposition technique based on self-limiting surface reactions carried out in a sequential manner.[1] Essentially, MLD resembles the well established technique of atomic layer deposition (ALD) but, whereas ALD is limited to exclusively inorganic coatings, the precursor chemistry in MLD can use small, bifunctional organic molecules as well. This enables, as well as the growth of organic layers in a process similar to polymerization, the linking of both types of building blocks together in a controlled way to build up organic-inorganic hybrid materials.

Even though MLD is a known technique in the thin film deposition sector, due to its relative youth it is not as explored as its inorganic counterpart, ALD, and a wide sector development is expected in the upcoming years.

History

Molecular layer deposition is a sister technique of atomic layer deposition. While the history of atomic layer deposition dates back to the 1970s, thanks to the independent work of Valentin Borisovich Aleskovskii.[2] and Tuomo Suntola,[3] the first MLD experiments with organic molecules were not published until 1991, when an article from Tetsuzo Yoshimura and co-workers appeared[4] regarding the synthesis of polyimides using amines and anhydrides as reactants.[5] After some work on organic compounds along the 1990s, the first papers related to hybrid materials emerged, after combining both ALD and MLD techniques.[6][7] Since then, the number of articles submitted per year on molecular layer deposition has increased steadily, and a more diverse range of deposited layers have been observed, including polyamides,[8][9][10] polyimines,[11] polyurea,[12] polythiourea[13] and some copolymers,[14] with special interest in the deposition of hybrid films.

Reaction mechanism

In similar fashion to an atomic layer deposition process, during an MLD process the reactants are pulsed on a sequential, cyclical manner, and all gas-solid reactions are self-limiting on the sample substrate. Each of these cycles are called MLD cycles and layer growth is measured as Growth Per Cycle (GPC), usually expressed in nm/cycle or Å/cycle.[1] During a model, two precursor experiment, an MLD cycle proceeds as follows:

First, precursor 1 is pulsed in the reactor, where it reacts and chemisorbs to the surface species on the sample surface. Once all adsorption sites have been covered and saturation has been reached, no more precursor will attach, and excess precursor molecules and generated byproducts are withdrawn from the reactor, either by purging with inert gas or by pumping the reactor chamber down. Only when the chamber has been properly purged with inert gas/pumped down to base pressure (~ 10−6 mbar range) and all unwanted molecules from the previous step have been removed, can precursor 2 be introduced.[15] Otherwise, the process runs the risk of CVD-type growth, where the two precursors react in the gaseous phase before attaching to the sample surface, which would result in a coating with different characteristics.

Next, precursor 2 is pulsed, which reacts with the previous precursor 1 molecules anchored to the surface. This surface reaction is again self-limiting and, followed again by purging/pumping to base pressure the reactor, leaves behind a layer terminated with surface groups that can again react with precursor 1 in the next cycle. In the ideal case, the repetition of the MLD cycle will build up an organic/inorganic film one monoatomic layer at a time, enabling highly conformal coatings with precise thickness control and film purity[15]

If ALD and MLD are combined, more precursors in a wider range can be used, both inorganic and organic.[5][6] In addition, other reactions can be included in the ALD/MLD cycles as well, such as plasma or radical exposures. This way, an experiment can be freely customised according to the research needs by tuning the number of ALD and MLD cycles and the steps contained within the cycles.[15]

Process chemistry and surface reactions

Precursor chemistry plays a key role in MLD. The chemical properties of the precursor molecules drive the composition, structure and stability of the deposited hybrid material.  To reach the saturation stage in a short time and ensure a reasonable deposition rate, precursors must chemisorb on the surface, react rapidly with the surface active groups and react with each other. The desired MLD reactions should have a large negative G value.[16][17]

Surface groups play a crucial role as reaction intermediates. The substrate is usually hydroxylated or hydrogen terminated and hydroxyls serve as reactive linkers for condensation reactions with metals. The inorganic precursor reacts with surface reactive groups via the corresponding linking chemistry that leads to the formation of new O-Metal bonds. The metal precursor step changes the surface termination, leaving the surface with new reactive sites ready to react with the organic precursor.  The organic precursor reacts at the resulting surface by bonding covalently with the metal sites, releasing metal ligands and leaves another reactive molecular layer ready for the next pulse. Byproducts are released after each adsorption step and the reactions are summarised below.[18]

Process considerations

When performing an MLD process, as a variant of ALD, certain aspects need to be taken into account in order to obtain the desired layer with adequate purity and growth rate:

Saturation

Before starting an experiment, the researcher must know whether the process designed will yield saturated or unsaturated conditions.[19] If this information is unknown, it is a priority to get to know it in order to have accurate results. If not long enough precursor pulsing times are allowed, the surface reactive sites of the sample will not have sufficient time to react with the gaseous molecules and form a monolayer, which will be translated in a lower growth per cycle (GPC). To solve this issue, a saturation experiment can be performed, where the film growth is monitored in-situ at different precursor pulsing times, whose GPCs will then be plotted against pulsing time to find the saturation conditions.[19]

Additionally, too short purging times will result in remaining precursor molecules in the reactor chamber, which will be reactive in the gaseous phase towards the new precursor molecules introduced during the next step, obtaining an undesired CVD-grown layer instead.[19]

MLD window

Film growth usually depends on the temperature of deposition, on what is called MLD window,[1] a temperature range in which, ideally, film growth will remain constant. When working outside of the MLD window, a number of problems can occur:

  • When working at lower temperatures: limited growth, due to insufficient reactivity; or condensation, which will appear like a higher GPC than expected.[19]
  • When working at higher temperatures: precursor decomposition, which originates non-saturating uncontrolled growth; or desorption that will lower deposition rates.[19]

In addition, even when working within the MLD window, GPCs can still vary with temperature sometimes, due to the effect of other temperature-dependent factors, such as film diffusion, number of reactive sites or reaction mechanism.[1]

Non-idealities

Non-monolayer growth

When carrying out an MLD process, the ideal case of one monolayer per cycle is not usually applicable. In the real world, many parameters affect the actual growth rate of the film, which in turn produce non idealities like sub-monolayer growth (deposition of less than a full layer per cycle), island growth and coalescence of islands.[19]

Substrate effects

During an MLD process, film growth will usually achieve a constant value (GPC). However, during the first cycles, incoming precursor molecules will not interact with a surface of the grown material but rather with the bare substrate, and thus will undergo different chemical reactions with different reaction rates. As a consequence of this, growth rates can experience a substrate enhancement (faster substate-film reaction than film-film reactions) and therefore higher GPCs in the first cycles; or a substrate inhibition (slower substate-film reaction than film-film reactions), accompanied by a GPC decrease at the beginning. In any case, process growth rates can be very similar in both cases in some depositions.[20]

Lower than anticipated growth

In MLD, it is not strange to observe that, often, experiments yield lower than anticipated growth rates. The reason for this relies on several factors,[21] such as:

  • Molecule tilting: organic molecules with long chains are prone to not remaining completely perpendicular to the surface, lowering the number of surface sites.
  • Bidentate ligands: when a reacting molecule has two functional groups, it may bend and react with two surface sites instead of remaining straight on the surface. This has been shown, for instance, for titanicones grown with ethylene glycol and glycerol. Because glycerol has an additional hydroxyl group compared to ethylene glycol and is able to provide an extra reactive hydroxyl group in the case of a double reaction of the terminal hydroxyl groups with the surface.[22]
  • Steric hindrance: organic precursors are often bulky, and can cover several surface groups when attached to the surface.
  • Long pulsing times: organic precursors can have very low vapour pressures, and very long pulsing times may be necessary in order to achieve saturation. In addition, long purging times are usually needed to remove all unreacted molecules from the chamber afterward.
  • Low temperatures: to increase the precursor vapor pressure, one might think of increasing its temperature. Nevertheless, organic precursors are usually very thermally fragile, and a temperature increase may induce decomposition.
  • Gas-phase: many organic reactions are normally carried out in the liquid phase, and are therefore dependent of acid-base interactions or solvation effects. These effects are not present in the gaseous phase and, as a consequence, many processes will yield lower reaction rates or directly won't be possible.[1]

This phenomena can be avoided as much as possible by using organic precursors with stiff backbones[23] or with more than two functional groups,[22] using  a three step reaction sequence,[24] or using precursors in which ring-opening reactions occur.[25]

Physical state of precursors

Liquid precursors

High volatility and ease-of-handling make liquid precursors the preferred choice for ALD/MLD. Generally, liquid precursors have high enough vapor pressures at room temperature and hence require limited to no heating. They are also not prone to common problems with solid precursors like caking, particle size change, channeling and provide consistent and stable vapor delivery. Hence, some solid precursors with low melting points are generally used in their liquid states.

A carrier gas is usually employed to carry the precursor vapor from its source to the reactor. The precursor vapors can be directly entrained into this carrier gas with the help of solenoid and needle valves.[26] On the other hand, the carrier gas may been flown over the head space of a container containing the precursor or bubbled through the precursor. For the latter, dip-tube bubblers are very commonly used. The setup comprises of a hollow tube (inlet) opening almost at the bottom of a sealed ampoule filled with precursor and an outlet at the top of the ampoule. An inert carrier gas like Nitrogen/Argon is bubbled through the liquid via the tube and led to the reactor downstream via the outlet. Owing to relatively fast evaporation kinetics of liquids, the outcoming carrier gas is nearly saturated with precursor vapor. The vapor supply to the reactor can be regulated by adjusting the carrier gas flow, temperature of the precursor and if needed, can be diluted further down the line. It must be ensured that the connections downstream from the bubbler are kept at high enough temperatures so as to avoid precursor condensation. The setup can also be used in spatial reactors which demand extremely high, stable and constant supply of precursor vapor.

In conventional reactors, hold cells can also be used as a temporary reservoir of precursor vapor.[27][28] In such a setup, the cell is initially evacuated. It is then opened to a precursor source and allowed to be filled with precursor vapor. The cell is then cut off from the precursor source. Depending upon the reactor pressure, the cell may then be pressurized with an inert gas. Finally, the cell is opened to the reactor and the precursor is delivered. This cycle of filling and emptying the hold (storage) cell can be synced with an ALD cycle. The setup is not suitable for spatial reactors which demand continuous supply of vapor.

Though rarely used, flash evaporation of precursor is also employed in some cases.

Solid precursors

Solid precursors are not as common as liquid but are still used. A very common example of a solid precursor having potential applications in ALD for semiconductor industry is Tri Methyl Indium (TMIn). In MLD, some solid co-reactants like p-Aminophenol, Hydroquinone, p-Phenylenediamine can overcome the problem of double reactions faced by liquid reactants like Ethylene glycol. Their aromatic backbone can be attributed as one of the reasons for this. Growth rates obtained from such precursors is usually higher than precursors with flexible backbones.

However, most of the solid precursors have relatively low vapor pressures and slow evaporation kinetics.

For temporal setups, the precursor is generally filled in a heated boat and the overhead vapors are swept to the reactor by a carrier gas. However, slow evaporation kinetics make it difficult to deliver equilibrium vapor pressures. In order to ensure maximum saturation of a carrier gas with the precursor vapor, the contact between a carrier gas and the precursor needs to be long and sufficient. A simple dip-tube bubbler, commonly used for liquids, can be used for this purpose. But, the consistency in vapor delivery from such a setup is prone to evaporative/sublimative cooling of the precursor,[29][30] precursor caking, carrier gas channeling,[31] changes in precursor morphology and particle size change.[31] Also, blowing high flows of carrier gas through a solid precursor can lead to small particles being carried away to the reactor or a downstream filter thereby clogging it. In order to avoid these problems, the precursor may first be dissolved in a non-volatile inert liquid or suspended in it and the solution/suspension can then be used in a bubbler setup.[32]

Apart from this, some special vapor delivery systems have also been designed for solid precursors to ensure stable and consistent delivery of precursor vapor for longer durations and higher carrier flows.[31][33]

Gaseous precursors

ALD/MLD are both gas phase processes. Hence, precursors are required to be introduced into the reaction zones in their gaseous form. A precursor already existing in a gaseous physical state would make its transport to the reactor very straight-forward and hassle free. For example, there will be no need of heating the precursor thereby reducing the risk of condensation. However, precursors are seldom available in gaseous state. On the other hand, some ALD co-reactants are available in gaseous form. Examples include H2S used for sulphide films;[34] NH3 used for nitride films;[35] plasmas of O2[36] and O3 [37] to produce oxides. The most common and straight forward way of regulating the supply of these co-reactants to the reactor is using a mass flow controller attached between the source and the reactor. They can also be diluted with an inert gas to control their partial pressure.

Film characterisation

Several characterisation techniques have evolved over time as the demand for creating ALD/MLD films for different applications has increased. This includes lab-based characterisation and efficient synchrotron-based x-ray techniques.

Lab-based characterisation

Since they both follow a similar protocol, almost all characterisation applicable to ALD generally applies to MLD as well. Many tools have been employed to characterise MLD film properties such as thickness, surface and interface roughness, composition, and morphology. Thickness and roughness (surface and interface) of a grown MLD film are of utmost importance and are usually characterised ex-situ by X-ray reflectivity (XRR).[38] In-situ techniques offer an easier and more efficient characterisation than their ex-situ counterparts, among which spectroscopic ellipsometry (SE)[39] and quartz crystal microbalance (QCM)[40] have become very popular to measure thin films from a few angstroms to a few micrometers with excepcional thickness control.[41][42]

X-ray photoelectron spectroscopy (XPS)[43] and X-ray diffractometry (XRD)[44] are widely used to gain insights into film composition and crystallinity, respectively, whereas atomic force microscopy (AFM)[45] and scanning electron microscopy (SEM)[46] are being frequently utilised to observe surface roughness and morphology. As MLD mostly deals with hybrid materials, comprising both organic and inorganic components, Fourier transform infrared spectroscopy (FTIR)[47] is an important tool to understand the new functional group added or removed during the MLD cycles and also it is a powerful tool to elucidate the underlying chemistry or surface reactions[24] during each sub cycle of an MLD process.

Synchrotron-based characterisation

A synchrotron is an immensely powerful source of x-rays that reaches energy levels which cannot be achieved in a lab-based environment. It produces synchrotron radiation, the electromagnetic radiation emitted when charged particles undergo radial acceleration, whose high power levels offer a deeper understanding of processes and lead to cutting edge research outputs.[48] Synchrotron-based characterisations also offer potential opportunities for understanding the basic chemistry and developing fundamental knowledge about MLD processes and their potential applications.[49][50] The combination of in-situ X-ray fluorescence (XRF)[51] and Grazing incidence small angle X-ray scattering (GISAXS)[52]  has been demonstrated as a successful methodology to learn the nucleation and growth during ALD processes[53][54] and, although this combination has not yet been investigated in detail to study MLD processes, it holds great potential to improve the understanding of initial nucleation and internal structure of the hybrid materials developed by MLD or by vapour phase infiltration (VPI).

Potential applications

The main application for molecular scale-engineered hybrid materials relies on its synergetic properties, which surpass the individual performance of their inorganic and organic components. The main fields of application of MLD-deposited materials are[55]

  • Packaging / encapsulation: depositing ultrathin, pinhole-free and flexible coatings with improved mechanical properties (flexibility, stretchability, reduced brittleness). One example are gas-barriers on organic light emitting diodes (OLEDs).
  • Electronics: Tailoring materials with special mechanical and dielectric properties, such as advanced integrated circuits that require particular insulators or flexible thin film transistors with high-k gate dielectrics. Also, the recovery of energy wasted as heat as electric power with certain thermoelectric devices.
  • Biomedical applications: to enhance either cell growth, better adhesion or the opposite, generating materials with anti-bacterial properties. These can be used in research areas like sensing, diagnostics or medicine delivery.

Combining inorganic and organic building blocks on a molecular scale has proved to be challenging, due to the different preparative conditions needed for forming inorganic and organic networks. Current routes are often based on solution chemistry, e.g. sol-gel synthesis combined with spin-coating, dipping or spraying, to which MLD is an alternative.

MLD usage for dielectric materials.

Low-k

The dielectric constant (k) of a medium is defined as the ratio of the capacitor capacitances with and without medium.[56] Nowadays delay, crosstalk and power dissipation caused by the resistance of the metal interconnection and the dielectric layer of nanoscale devices have become the main factors that limit the performance of a device and, as electronic devices are scaled-down further, interconnect resistance capacitance (RC) delay may dominate the overall device speed. To solve this, current work is focused on minimising the dielectric constant of materials by combining inorganic and organic materials,[57] whose reduced capacitance allows for shrinkage of spacing between metal lines and, with it, the ability to decrease the number of metal layers in a device. In these kind of materials, the organic part must be hard and resistant and, for that purpose, metal oxides and fluorides are commonly used. However, since this materials are more brittle, organic polymers are also added, providing the hybrid material with low dielectric constant, good interstitial ability, high flatness, low residual stress, low thermal conductivity. In current research, great efforts are being put in order to prepare low-k materials by MLD with a k value of less than 3.[58]

High-k

Novel organic thin-film transistors require a high-performance dielectric layer, which should be thin and possess a high k-value. MLD makes tuning the high-k and dielectric strength possible by altering the amount and the ratio of the organic and inorganic components. Moreover, the usage of MLD allows to achieve better mechanical properties in terms of flexibility.

Various hybrid dielectrics have already been developed: zincone hybrids from zirconium tert-butoxide (ZTB) and ethylene glycol (EG);[59] Al2O3 based hybrids such as self-assembled MLD-deposited octenyltrichlorosilane (OTS) layers and Al2O3 linkers.[60] Additionally, dielectric Ti-based hybrid from TiCl4 and fumaric acid proved its applicability in charge memory capacitors.[61]

MLD for conductive materials.

Conductive and flexible films are crucial for numerous emerging applications, such as displays, wearable devices, photovoltaics, personal medical devices, etc. For example, a zincone hybrid is closely related to a ZnO film and, therefore, may combine the conductivity of ZnO with the flexibility of an organic layer. Zincones can be deposited from diethylzinc (DEZ), hydroquinone (HQ) and water to generate a molecular chain in the form of (−Zn-O-phenylene-O−)n, which is an electrical conductor.[62] Measurements of a pure ZnO film showed a conductivity of ~14 S/m, while the MLD zincone showed ~170 S/m, demonstrating a considerable enhancement of the conductivity in the hybrid alloy of more than one order of magnitude.

MLD for Energy Storage

MLD coatings for battery electrodes

One of the main applications of MLD in the batteries field is to coat the battery electrodes with hybrid (organic-inorganic) coatings. The main reason being, these coatings can potentially protect the electrodes from the main sources of degradation, while not breaking. These coatings are more flexible than purely inorganic materials. Therefore, being able to cope with volume expansion occurring in the battery electrodes upon charge and discharge.

  • MLD coatings on anodes:The implementation of silicon anodes in batteries is extremely interesting due to its high theoretical capacity (4200mAh/g). Nevertheless, the huge volume change upon lithium alloying and dealloying is a big issue as it leads to the degradation of the silicon anodes. MLD thin film coatings, such as Alucones (AL-GL, AL-HQ), can be used on silicon as a buffering matrix, due to is high flexibility and toughness. Therefore, relieving the volume expansion for the Si anode, and leading to a significant improve in cycling performance.[63][64]
  • MLD coatings on cathodes:Li sulfur batteries are of great interest due to their high energy density, which makes it promising for applications such as electric vehicles (EVs) and hybrid electric vehicles (HEVs). However, their poor cycle life due to the dissolution of the polysulfides from the cathode, is detrimental for the battery performance. This fact, together with the large volume expansion are some of the main factors that lead to the poor electrochemical performance. Alucone coatings (AL-EG) on sulfur cathodes have been successfully used to face these issues.[65][66]

MLD for Thermoelectric Materials

Atomic/molecular layer deposition (ALD/MLD) as a thin film deposition technology with high precision and control creates this opportunity to produce very good hybrid inorganic-organic superlattice structures. Adding organic barrier layers inside the inorganic lattice of the thermoelectric materials improves the thermoelectric efficiency. The aforementioned phenomenon is the result of a quenching effect that the organic barrier layers have on phonons. Consequently, the electrons that are mainly responsible for the electrical transport through the lattice, can pass through the organic layers mostly intact, while the phonons that are responsible for the thermal transport will be suppressed to some degree. Consequently, the resulting films will have better thermoelectric efficiency.

Practical Outlook

It is believed that the application of barrier layers along with other methods for increasing thermoelectric efficiency can help to produce thermoelectric modules that are non-toxic, flexible, cheap, and stable. One such case is thermoelectric oxides of earth-abundant elements. These oxides in comparison to other thermoelectric materials have lower thermoelectricity due to their higher thermal conductivity. Therefore, adding barrier layers, by means of ALD/MLD, is a good method to overcome this negative characteristic of oxides.

Advantages and limitations

Advantages

The main advantage of molecular layer deposition relates to its slow, cyclical approach. While other techniques may yield thicker films in shorter times, molecular layer deposition is known for its thickness control at Angstrom level precision. In addition, its cyclical approach yields films with excellent conformality, making it suitable for the coating of surfaces with complex shapes. The growth of multilayers consisting of different materials is also possible with MLD, and the ratio of organic/inorganic hybrid films can easily be controlled and tailored to the research needs.

Limitations

As well as in the previous case, the main disadvantage of molecular layer deposition is also related to it slow, cyclical approach. Since both precursors are pulsed sequentially during each cycle, and saturation needs to be achieved each time, the time required in order to obtain a film thick enough can easily be in the order of hours, if not days. In addition, before depositing the desired films it is always necessary to test and optimise all parameters for it to yield successful results.

In addition, another issue related to hybrid films deposited via MLD is their stability. Hybrid organic/inorganic films can degrade or shrink in H2O. However, this can be used to facilitate the chemical transformation of the films. Modifying the MLD surface chemistries can provide a solution to increase the stability and mechanical strength of hybrid films.

In terms of cost, regular molecular layer deposition equipment can cost between $200,000 and $800,000. What's more, the cost of the precursors used needs to be taken into consideration.[67]

Similar to the atomic layer deposition case, there are some rather strict chemical limitations for precursors to be suitable for molecular layer deposition.

MLD precursors must have[68]

  • Sufficient volatility
  • Aggressive and complete reactions
  • Thermal stability
  • No etching of the film or substrate material
  • Sufficient purity

In addition, it is advisable to find precursors with the following characteristics:

  • Gases or highly volatile liquids
  • High GPC
  • Unreactive, volatile byproducts
  • Inexpensive
  • Easy to synthesise and handle
  • Non-toxic
  • Environmentally friendly
gollark: Maybe what ABR needs is a sensible options parser.
gollark: You're just jealous that they can run programming languages other than Macron.
gollark: I implemented Macron as a series of endofunctors.
gollark: I made 5 Macrons this morning and another 3 in the afternoon.
gollark: APIONET is already accepting brain uploads.

References

  1. Sundberg, Pia; Karppinen, Maarit (22 July 2014). "Organic and inorganic–organic thin film structures by molecular layer deposition: A review". Beilstein Journal of Nanotechnology. 5: 1104–1136. doi:10.3762/bjnano.5.123. PMC 4143120. PMID 25161845.
  2. Ahvenniemi, Esko; Akbashev, Andrew R.; Ali, Saima; Bechelany, Mikhael; Berdova, Maria; Boyadjiev, Stefan; Cameron, David C.; Chen, Rong; Chubarov, Mikhail; Cremers, Veronique; Devi, Anjana; Drozd, Viktor; Elnikova, Liliya; Gottardi, Gloria; Grigoras, Kestutis; Hausmann, Dennis M.; Hwang, Cheol Seong; Jen, Shih-Hui; Kallio, Tanja; Kanervo, Jaana; Khmelnitskiy, Ivan; Kim, Do Han; Klibanov, Lev; Koshtyal, Yury; Krause, A. Outi I.; Kuhs, Jakob; Kärkkänen, Irina; Kääriäinen, Marja-Leena; Kääriäinen, Tommi; Lamagna, Luca; Łapicki, Adam A.; Leskelä, Markku; Lipsanen, Harri; Lyytinen, Jussi; Malkov, Anatoly; Malygin, Anatoly; Mennad, Abdelkader; Militzer, Christian; Molarius, Jyrki; Norek, Małgorzata; Özgit-Akgün, Çağla; Panov, Mikhail; Pedersen, Henrik; Piallat, Fabien; Popov, Georgi; Puurunen, Riikka L.; Rampelberg, Geert; Ras, Robin H. A.; Rauwel, Erwan; Roozeboom, Fred; Sajavaara, Timo; Salami, Hossein; Savin, Hele; Schneider, Nathanaelle; Seidel, Thomas E.; Sundqvist, Jonas; Suyatin, Dmitry B.; Törndahl, Tobias; van Ommen, J. Ruud; Wiemer, Claudia; Ylivaara, Oili M. E.; Yurkevich, Oksana (January 2017). "Review Article: Recommended reading list of early publications on atomic layer deposition—Outcome of the 'Virtual Project on the History of ALD'". Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 35 (1): 010801. Bibcode:2017JVSTA..35a0801A. doi:10.1116/1.4971389.
  3. Virtual project on the history of ALD (VPHA) website http://www.vph-ald.com
  4. Yoshimura, Tetsuzo; Tatsuura, Satoshi; Sotoyama, Wataru (22 July 1991). "Polymer films formed with monolayer growth steps by molecular layer deposition". Applied Physics Letters. 59 (4): 482–484. Bibcode:1991ApPhL..59..482Y. doi:10.1063/1.105415.
  5. Meng, Xiangbo (2017). "An overview of molecular layer deposition for organic and organic–inorganic hybrid materials: mechanisms, growth characteristics, and promising applications". Journal of Materials Chemistry A. 5 (35): 18326–18378. doi:10.1039/C7TA04449F.
  6. Lee, Byoung H.; Ryu, Min Ki; Choi, Sung-Yool; Lee, Kwang-H.; Im, Seongil; Sung, Myung M. (December 2007). "Rapid Vapor-Phase Fabrication of Organic−Inorganic Hybrid Superlattices with Monolayer Precision". Journal of the American Chemical Society. 129 (51): 16034–16041. doi:10.1021/ja075664o. PMID 18047337.
  7. Dameron, A. A.; Seghete, D.; Burton, B. B.; Davidson, S. D.; Cavanagh, A. S.; Bertrand, J. A.; George, S. M. (May 2008). "Molecular Layer Deposition of Alucone Polymer Films Using Trimethylaluminum and Ethylene Glycol". Chemistry of Materials. 20 (10): 3315–3326. doi:10.1021/cm7032977.
  8. Shao, Hui-Ii; Umemoto, Susumu; Kikutani, Takeshi; Okui, Norimasa (January 1997). "Layer-by-layer polycondensation of nylon 66 by alternating vapour deposition polymerization". Polymer. 38 (2): 459–462. doi:10.1016/S0032-3861(96)00504-6.
  9. Adamczyk, N. M.; Dameron, A. A.; George, S. M. (March 2008). "Molecular Layer Deposition of Poly(p-phenylene terephthalamide) Films Using Terephthaloyl Chloride and p-Phenylenediamine". Langmuir. 24 (5): 2081–2089. doi:10.1021/la7025279. PMID 18215079.
  10. Peng, Qing; Efimenko, Kirill; Genzer, Jan; Parsons, Gregory N. (5 July 2012). "Oligomer Orientation in Vapor-Molecular-Layer-Deposited Alkyl-Aromatic Polyamide Films". Langmuir. 28 (28): 10464–10470. doi:10.1021/la3017936. PMID 22765908.
  11. Yoshimura, Tetsuzo; Kudo, Yuki (16 January 2009). "Monomolecular-Step Polymer Wire Growth from Seed Core Molecules by the Carrier-Gas-Type Molecular Layer Deposition". Applied Physics Express. 2 (1): 015502. Bibcode:2009APExp...2a5502Y. doi:10.1143/APEX.2.015502.
  12. Loscutoff, Paul W.; Zhou, Han; Clendenning, Scott B.; Bent, Stacey F. (11 December 2009). "Formation of Organic Nanoscale Laminates and Blends by Molecular Layer Deposition". ACS Nano. 4 (1): 331–341. doi:10.1021/nn901013r. PMID 20000603.
  13. Loscutoff, Paul W.; Lee, Han-Bo-Ram; Bent, Stacey F. (12 October 2010). "Deposition of Ultrathin Polythiourea Films by Molecular Layer Deposition". Chemistry of Materials. 22 (19): 5563–5569. doi:10.1021/cm1016239.
  14. Sabapathy, Rajaram C.; Crooks, Richard M. (October 2000). "Synthesis of a Three-Layer Organic Thin Film Prepared by Sequential Reactions in the Absence of Solvents". Langmuir. 16 (20): 7783–7788. doi:10.1021/la000603o.
  15. How Atomic Layer Deposition (ALD) works on YouTube
  16. Leskelä, Markku; Ritala, Mikko (April 2002). "Atomic layer deposition (ALD): from precursors to thin film structures". Thin Solid Films. 409 (1): 138–146. doi:10.1016/s0040-6090(02)00117-7. ISSN 0040-6090.
  17. Sundberg, Pia; Karppinen, Maarit (2014-07-22). "Organic and inorganic–organic thin film structures by molecular layer deposition: A review". Beilstein Journal of Nanotechnology. 5: 1104–1136. doi:10.3762/bjnano.5.123. ISSN 2190-4286. PMC 4143120. PMID 25161845.
  18. Meng, Xiangbo (2017). "An overview of molecular layer deposition for organic and organic–inorganic hybrid materials: mechanisms, growth characteristics, and promising applications". Journal of Materials Chemistry A. 5 (35): 18326–18378. doi:10.1039/c7ta04449f. ISSN 2050-7488.
  19. Puurunen, Riikka L. (15 June 2005). "Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process". Journal of Applied Physics. 97 (12): 121301–121301–52. Bibcode:2005JAP....97l1301P. doi:10.1063/1.1940727.
  20. "Atomic Layer Deposition Process Development – 10 steps to successfully develop, optimize and characterize ALD recipes – Atomic Limits". Retrieved 2019-02-14.
  21. George, Steven M.; Yoon, Byunghoon; Dameron, Arrelaine A. (21 April 2009). "Surface Chemistry for Molecular Layer Deposition of Organic and Hybrid Organic−Inorganic Polymers". Accounts of Chemical Research. 42 (4): 498–508. CiteSeerX 10.1.1.628.4492. doi:10.1021/ar800105q. PMID 19249861.
  22. Van de Kerckhove, Kevin; Mattelaer, Felix; Deduytsche, Davy; Vereecken, Philippe M.; Dendooven, Jolien; Detavernier, Christophe (2016). "Molecular layer deposition of "titanicone", a titanium-based hybrid material, as an electrode for lithium-ion batteries". Dalton Transactions. 45 (3): 1176–1184. doi:10.1039/c5dt03840e. ISSN 1477-9226. PMID 26662179.
  23. Nilsen, Ola; Klepper, Karina; Nielsen, Heidi; Fjellvåg, Helmer (2008). "Deposition of Organic- Inorganic Hybrid Materials by Atomic Layer Deposition". ECS Transactions. ECS. 16: 3–14. doi:10.1149/1.2979975.
  24. Yoon, Byunghoon; Seghete, Dragos; Cavanagh, Andrew S.; George, Steven M. (2009-11-24). "Molecular Layer Deposition of Hybrid Organic−Inorganic Alucone Polymer Films Using a Three-Step ABC Reaction Sequence". Chemistry of Materials. 21 (22): 5365–5374. doi:10.1021/cm9013267. ISSN 0897-4756.
  25. Keskiväli, Laura; Putkonen, Matti; Puhakka, Eini; Kenttä, Eija; Kint, Jeroen; Ramachandran, Ranjith K.; Detavernier, Christophe; Simell, Pekka (2018-07-02). "Molecular Layer Deposition Using Ring-Opening Reactions: Molecular Modeling of the Film Growth and the Effects of Hydrogen Peroxide". ACS Omega. 3 (7): 7141–7149. doi:10.1021/acsomega.8b01301. ISSN 2470-1343. PMC 6644646. PMID 31458876.
  26. Elam, J. W.; Groner, M. D.; George, S. M. (August 2002). "Viscous flow reactor with quartz crystal microbalance for thin film growth by atomic layer deposition". Review of Scientific Instruments. 73 (8): 2981–2987. doi:10.1063/1.1490410. ISSN 0034-6748.
  27. Mousa, Moataz Bellah M.; Oldham, Christopher J.; Jur, Jesse S.; Parsons, Gregory N. (January 2012). "Effect of temperature and gas velocity on growth per cycle during Al 2 O 3 and ZnO atomic layer deposition at atmospheric pressure". Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 30 (1): 01A155. doi:10.1116/1.3670961. ISSN 0734-2101.
  28. Jur, Jesse. S.; Parsons, Gregory N. (2011-02-23). "Atomic Layer Deposition of Al 2 O 3 and ZnO at Atmospheric Pressure in a Flow Tube Reactor". ACS Applied Materials & Interfaces. 3 (2): 299–308. doi:10.1021/am100940g. ISSN 1944-8244.
  29. Love, Allen; Middleman, Stanley; Hochberg, Arthur K. (March 1993). "The dynamics of bubblers as vapor delivery systems". Journal of Crystal Growth. 129 (1–2): 119–133. doi:10.1016/0022-0248(93)90441-X.
  30. Woelk, E.; DiCarlo, R. (May 2014). "Control of vapor feed from liquid precursors to the OMVPE process". Journal of Crystal Growth. 393: 32–34. doi:10.1016/j.jcrysgro.2013.10.020.
  31. Timmons, M; Rangarajan, P; Stennick, R (December 2000). "A study of cylinder design for solid OMVPE sources". Journal of Crystal Growth. 221 (1–4): 635–639. doi:10.1016/S0022-0248(00)00791-0.
  32. Frigo, Dario M.; van Berkel, Werefridus W.; Maassen, William A.H.; van Mier, Guido P.M.; Wilkie, James H.; Gal, Anton W. (November 1992). "A method for dosing solid sources for MOVPE: excellent reproducibility of dosimetry from a saturated solution of trimethylindium". Journal of Crystal Growth. 124 (1–4): 99–105. doi:10.1016/0022-0248(92)90444-N.
  33. Andre, C.L.; El-Zein, N.; Tran, N. (January 2007). "Bubbler for constant vapor delivery of a solid chemical". Journal of Crystal Growth. 298: 168–171. doi:10.1016/j.jcrysgro.2006.10.018.
  34. Suntola, Tuomo; Hyvarinen, Jaakko (August 1985). "Atomic Layer Epitaxy". Annual Review of Materials Science. 15 (1): 177–195. doi:10.1146/annurev.ms.15.080185.001141. ISSN 0084-6600.
  35. Kumagai, Yoshinao; Mayumi, Miho; Koukitu, Akinori; Seki, Hisashi (June 2000). "In situ gravimetric monitoring of halogen transport atomic layer epitaxy of cubic-GaN". Applied Surface Science. 159–160: 427–431. doi:10.1016/S0169-4332(00)00120-3.
  36. Hoex, B.; Heil, S. B. S.; Langereis, E.; van de Sanden, M. C. M.; Kessels, W. M. M. (2006-07-24). "Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3". Applied Physics Letters. 89 (4): 042112. doi:10.1063/1.2240736. ISSN 0003-6951.
  37. Kim, J. B.; Kwon, D. R.; Chakrabarti, K.; Lee, Chongmu; Oh, K. Y.; Lee, J. H. (December 2002). "Improvement in Al2O3 dielectric behavior by using ozone as an oxidant for the atomic layer deposition technique". Journal of Applied Physics. 92 (11): 6739–6742. Bibcode:2002JAP....92.6739K. doi:10.1063/1.1515951. ISSN 0021-8979.
  38. Fujii, Yoshikazu (2013-07-31). "Recent Developments in the X-Ray Reflectivity Analysis for Rough Surfaces and Interfaces of Multilayered Thin Film Materials". Journal of Materials. 2013: 1–20. doi:10.1155/2013/678361. ISSN 2314-4866.
  39. Tompkins, H.G.; Irene, E.A. (2005), "Preface", Handbook of Ellipsometry, Elsevier, pp. xv–xvi, doi:10.1016/b978-081551499-2.50002-2, ISBN 978-0-8155-1499-2
  40. O’Sullivan, C.K.; Guilbault, G.G. (December 1999). "Commercial quartz crystal microbalances – theory and applications". Biosensors and Bioelectronics. 14 (8–9): 663–670. doi:10.1016/s0956-5663(99)00040-8. ISSN 0956-5663.
  41. Dameron, A. A.; Seghete, D.; Burton, B. B.; Davidson, S. D.; Cavanagh, A. S.; Bertrand, J. A.; George, S. M. (May 2008). "Molecular Layer Deposition of Alucone Polymer Films Using Trimethylaluminum and Ethylene Glycol". Chemistry of Materials. 20 (10): 3315–3326. doi:10.1021/cm7032977. ISSN 0897-4756.
  42. Lee, Younghee; Yoon, Byunghoon; Cavanagh, Andrew S.; George, Steven M. (2011-12-20). "Molecular Layer Deposition of Aluminum Alkoxide Polymer Films Using Trimethylaluminum and Glycidol". Langmuir. 27 (24): 15155–15164. doi:10.1021/la202391h. ISSN 0743-7463. PMID 22029704.
  43. Andrade, Joseph D. (1985), Surface and Interfacial Aspects of Biomedical Polymers, Springer US, pp. 105–195, doi:10.1007/978-1-4684-8610-0_5, ISBN 978-1-4684-8612-4 Missing or empty |title= (help); |chapter= ignored (help)
  44. Jenkins, Ron (July 1974). "X-ray spectroscopy. Leonid Azaroff, McGraw-Hill, 1974. $20.00". X-Ray Spectrometry. 3 (3): A21. doi:10.1002/xrs.1300030312. ISSN 0049-8246.
  45. Giessibl, Franz J. (2003-07-29). "Advances in atomic force microscopy". Reviews of Modern Physics. 75 (3): 949–983. arXiv:cond-mat/0305119. Bibcode:2003RvMP...75..949G. doi:10.1103/revmodphys.75.949. ISSN 0034-6861.
  46. Zhou, Weilie; Apkarian, Robert; Wang, Zhong Lin; Joy, David (2006), "Fundamentals of Scanning Electron Microscopy (SEM)", Scanning Microscopy for Nanotechnology, Springer New York, pp. 1–40, doi:10.1007/978-0-387-39620-0_1, ISBN 978-0-387-33325-0
  47. Berthomieu, Catherine; Hienerwadel, Rainer (2009-06-10). "Fourier transform infrared (FTIR) spectroscopy". Photosynthesis Research. 101 (2–3): 157–170. doi:10.1007/s11120-009-9439-x. ISSN 0166-8595. PMID 19513810.
  48. "Stanovlenie Russko-Amerikanskikh Otnoshenii, 1775–1815 [The Establishment of Russian-American Relations, 1775–1815]. by <italic>N. N. Bolkhovitinov</italic> [Akademiia Nauk SSSR, Institut Istorii.] (Moscow: Izdatel'stvo "Nauka." 1966. Pp. 638)". The American Historical Review. February 1968. doi:10.1086/ahr/73.3.771. ISSN 1937-5239.
  49. Li, Xia; Lushington, Andrew; Sun, Qian; Xiao, Wei; Liu, Jian; Wang, Biqiong; Ye, Yifan; Nie, Kaiqi; Hu, Yongfeng; Xiao, Qunfeng; Li, Ruying (2016-05-19). "Safe and Durable High-Temperature Lithium–Sulfur Batteries via Molecular Layer Deposited Coating". Nano Letters. 16 (6): 3545–3549. Bibcode:2016NanoL..16.3545L. doi:10.1021/acs.nanolett.6b00577. ISSN 1530-6984. PMID 27175936.
  50. Lushington, Andrew; Liu, Jian; Bannis, Mohammad N.; Xiao, Biwei; Lawes, Stephen; Li, Ruying; Sun, Xueliang (December 2015). "A novel approach in controlling the conductivity of thin films using molecular layer deposition". Applied Surface Science. 357: 1319–1324. doi:10.1016/j.apsusc.2015.09.155. ISSN 0169-4332.
  51. Beckhoff, Burkhard; Kanngießer, habil. Birgit; Langhoff, Norbert; Wedell, Reiner; Wolff, Helmut, eds. (2006). Handbook of Practical X-Ray Fluorescence Analysis. doi:10.1007/978-3-540-36722-2. ISBN 978-3-540-28603-5.
  52. Santoro, Gonzalo; Yu, Shun (2017-01-25), "Grazing Incidence Small Angle X-Ray Scattering as a Tool for In- Situ Time-Resolved Studies", X-ray Scattering, InTech, doi:10.5772/64877, ISBN 978-953-51-2887-8
  53. Dendooven, Jolien; Ramachandran, Ranjith K.; Solano, Eduardo; Kurttepeli, Mert; Geerts, Lisa; Heremans, Gino; Rongé, Jan; Minjauw, Matthias M.; Dobbelaere, Thomas; Devloo-Casier, Kilian; Martens, Johan A. (2017-10-20). "Independent tuning of size and coverage of supported Pt nanoparticles using atomic layer deposition". Nature Communications. 8 (1): 1074. doi:10.1038/s41467-017-01140-z. ISSN 2041-1723. PMC 5651928. PMID 29057871.
  54. Dendooven, Jolien; Pulinthanathu Sree, Sreeprasanth; De Keyser, Koen; Deduytsche, Davy; Martens, Johan A.; Ludwig, Karl F.; Detavernier, Christophe (2011-03-18). "In Situ X-ray Fluorescence Measurements During Atomic Layer Deposition: Nucleation and Growth of TiO2 on Planar Substrates and in Nanoporous Films". The Journal of Physical Chemistry C. 115 (14): 6605–6610. doi:10.1021/jp111314b. ISSN 1932-7447.
  55. "HYCOAT Innovative Training Network | Functional Hybrid Coatings by Molecular Layer Deposition | H2020 Marie Curie Actions". www.hycoat.eu. Retrieved 2019-02-18.
  56. Shamiryan, D; Abell, T; Iacopi, F; Maex, K (January 2004). "Low-k dielectric materials". Materials Today. 7 (1): 34–39. doi:10.1016/s1369-7021(04)00053-7. ISSN 1369-7021.
  57. Klepper, Karina B.; Nilsen, Ola; Levy, Thomas; Fjellvåg, Helmer (2011-11-02). "Atomic Layer Deposition of Organic-Inorganic Hybrid Materials Based on Unsaturated Linear Carboxylic Acids". European Journal of Inorganic Chemistry. 2011 (34): 5305–5312. doi:10.1002/ejic.201100192. ISSN 1434-1948.
  58. Mor, Y. S.; Chang, T. C.; Liu, P. T.; Tsai, T. M.; Chen, C. W.; Yan, S. T.; Chu, C. J.; Wu, W. F.; Pan, F. M.; Lur, Water; Sze, S. M. (2002). "Effective repair to ultra-low-k dielectric material (k∼2.0) by hexamethyldisilazane treatment". Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 20 (4): 1334. doi:10.1116/1.1488645. ISSN 0734-211X.
  59. Lee, Byoung H.; Anderson, Virginia R.; George, Steven M. (2013-05-22). "Molecular Layer Deposition of Zircone and ZrO2/Zircone Alloy Films: Growth and Properties". Chemical Vapor Deposition. 19 (4–6): 204–212. doi:10.1002/cvde.201207045. ISSN 0948-1907.
  60. Cheng, Lanxia; Lee, Jaebeom; Zhu, Hui; Ravichandran, Arul Vigneswar; Wang, Qingxiao; Lucero, Antonio T.; Kim, Moon J.; Wallace, Robert M.; Colombo, Luigi; Kim, Jiyoung (2017-08-30). "Sub-10 nm Tunable Hybrid Dielectric Engineering on MoS2 for Two-Dimensional Material-Based Devices". ACS Nano. 11 (10): 10243–10252. doi:10.1021/acsnano.7b04813. ISSN 1936-0851. PMID 28832118.
  61. Cao, Yan-Qiang; Zhu, Lin; Li, Xin; Cao, Zheng-Yi; Wu, Di; Li, Ai-Dong (2015). "Growth characteristics of Ti-based fumaric acid hybrid thin films by molecular layer deposition". Dalton Transactions. 44 (33): 14782–14792. doi:10.1039/c5dt00384a. ISSN 1477-9226. PMID 26219386.
  62. Yoon, Byunghoon; Lee, Byoung H.; George, Steven M. (2012-11-13). "Highly Conductive and Transparent Hybrid Organic–Inorganic Zincone Thin Films Using Atomic and Molecular Layer Deposition". The Journal of Physical Chemistry C. 116 (46): 24784–24791. doi:10.1021/jp3057477. ISSN 1932-7447.
  63. Piper, Daniela Molina; Travis, Jonathan J.; Young, Matthias; Son, Seoung-Bum; Kim, Seul Cham; Oh, Kyu Hwan; George, Steven M.; Ban, Chunmei; Lee, Se-Hee (March 2014). "Reversible High-Capacity Si Nanocomposite Anodes for Lithium-ion Batteries Enabled by Molecular Layer Deposition". Advanced Materials. 26 (10): 1596–1601. doi:10.1002/adma.201304714. PMID 24353043.
  64. Molina Piper, Daniela; Lee, Younghee; Son, Seoung-Bum; Evans, Tyler; Lin, Feng; Nordlund, Dennis; Xiao, Xingcheng; George, Steven M.; Lee, Se-Hee; Ban, Chunmei (April 2016). "Cross-linked aluminum dioxybenzene coating for stabilization of silicon electrodes". Nano Energy. 22: 202–210. doi:10.1016/j.nanoen.2016.02.021.
  65. Li, Xia; Lushington, Andrew; Sun, Qian; Xiao, Wei; Liu, Jian; Wang, Biqiong; Ye, Yifan; Nie, Kaiqi; Hu, Yongfeng; Xiao, Qunfeng; Li, Ruying; Guo, Jinghua; Sham, Tsun-Kong; Sun, Xueliang (19 May 2016). "Safe and Durable High-Temperature Lithium–Sulfur Batteries via Molecular Layer Deposited Coating". Nano Letters. 16 (6): 3545–3549. Bibcode:2016NanoL..16.3545L. doi:10.1021/acs.nanolett.6b00577. PMID 27175936.
  66. Li, Xia; Lushington, Andrew; Liu, Jian; Li, Ruying; Sun, Xueliang (3 July 2014). "Superior stable sulfur cathodes of Li–S batteries enabled by molecular layer deposition". Chemical Communications. 50 (68): 9757–60. doi:10.1039/C4CC04097J. PMID 25026556.
  67. "Molecular Beam Epitaxy, Thin Film Deposition and Atomic Layer Deposition Systems - SVT Associates". www.svta.com.
  68. Handbook of thin film materials. Nalwa, Hari Singh, 1954-. San Diego: Academic Press. 2002. ISBN 9780125129084. OCLC 162575792.CS1 maint: others (link)
This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.